FPGA求助,利用verilog实现浮点数的计算!!!

具体要求:
实现0到1之间小数与某一整数相乘,其中小数是由DSP通过16位数据总线发过来的。求专家予以帮助,感激不尽!!!
另外:FPGA中的小数和整数如何实现乘法呢?小数是通过DSP外部16位地址总线传过来的

第1个回答  2012-03-10
把小数左移16位,变成整数,进行整数的乘法,得到结果后右移16位变成小数。
第2个回答  2012-03-09
DSP的小数格式是什么样的。是定点数还是浮点数格式。如果是定点数,可以通过FPGA的定点转浮点IP core进行转换,然后调用的浮点计算的IP core就行了,有浮点计算的加减乘除。本回答被提问者采纳
第3个回答  2012-03-09
FPGA是不能进行浮点计算的。
相似回答